diff --git a/symbiotic_01/Makefile b/SymbiYosys_27/Makefile similarity index 100% rename from symbiotic_01/Makefile rename to SymbiYosys_27/Makefile diff --git a/symbiotic_01/Properties.sv b/SymbiYosys_27/Properties.sv similarity index 100% rename from symbiotic_01/Properties.sv rename to SymbiYosys_27/Properties.sv diff --git a/SymbiYosys_27/README.md b/SymbiYosys_27/README.md new file mode 100644 index 0000000..a8514ca --- /dev/null +++ b/SymbiYosys_27/README.md @@ -0,0 +1,8 @@ +# bug_reports + +### SymbiYosys_#47 + +[SymbiYosys fails with an internal exception instead of generating counter example when using abc](https://github.com/YosysHQ/SymbiYosys/issues/27) + +Reported on Github, fixed in [YosysHQ/yosys@e112d2f](https://github.com/YosysHQ/yosys/commit/e112d2fbf5a31f00ef19e6d05f28fecc1e9c56b9) + diff --git a/symbiotic_01/TestDesign.vhd b/SymbiYosys_27/TestDesign.vhd similarity index 100% rename from symbiotic_01/TestDesign.vhd rename to SymbiYosys_27/TestDesign.vhd diff --git a/symbiotic_01/symbiyosys.sby b/SymbiYosys_27/symbiyosys.sby similarity index 100% rename from symbiotic_01/symbiyosys.sby rename to SymbiYosys_27/symbiyosys.sby