cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

395 lines
17 KiB

10 years ago
10 years ago
  1. -- ======================================================================
  2. -- AES encryption/decryption
  3. -- Copyright (C) 2019 Torsten Meissner
  4. -------------------------------------------------------------------------
  5. -- This program is free software; you can redistribute it and/or modify
  6. -- it under the terms of the GNU General Public License as published by
  7. -- the Free Software Foundation; either version 2 of the License, or
  8. -- (at your option) any later version.
  9. -- This program is distributed in the hope that it will be useful,
  10. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  11. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  12. -- GNU General Public License for more details.
  13. -- You should have received a copy of the GNU General Public License
  14. -- along with this program; if not, write to the Free Software
  15. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  16. -- ======================================================================
  17. -- aes implementation
  18. -- key length: 128 bit -> Nk = 4
  19. -- data width: 128 bit -> Nb = 4
  20. -- round number Nr = 10
  21. library ieee;
  22. use ieee.std_logic_1164.all;
  23. use ieee.numeric_std.all;
  24. package aes_pkg is
  25. -- components
  26. component aes_enc is
  27. generic (
  28. design_type : string := "ITER"
  29. );
  30. port (
  31. reset_i : in std_logic;
  32. clk_i : in std_logic;
  33. key_i : in std_logic_vector(0 to 127);
  34. data_i : in std_logic_vector(0 to 127);
  35. valid_i : in std_logic;
  36. accept_o : out std_logic;
  37. data_o : out std_logic_vector(0 to 127);
  38. valid_o : out std_logic;
  39. accept_i : in std_logic
  40. );
  41. end component aes_enc;
  42. component aes_dec is
  43. generic (
  44. design_type : string := "ITER"
  45. );
  46. port (
  47. reset_i : in std_logic;
  48. clk_i : in std_logic;
  49. key_i : in std_logic_vector(0 to 127);
  50. data_i : in std_logic_vector(0 to 127);
  51. valid_i : in std_logic;
  52. accept_o : out std_logic;
  53. data_o : out std_logic_vector(0 to 127);
  54. valid_o : out std_logic;
  55. accept_i : in std_logic
  56. );
  57. end component aes_dec;
  58. -- constants for AES128
  59. constant c_nk : natural := 4; -- key size
  60. constant c_nb : natural := 4; -- number of bytes
  61. constant c_nr : natural := 10; -- number of rounds
  62. subtype t_rounds is natural range 0 to c_nr + 1;
  63. subtype t_key_rounds is natural range c_nk to c_nb * (c_nr + 1);
  64. subtype t_enc_rounds is natural range t_rounds'low to t_rounds'high+1;
  65. subtype t_dec_rounds is natural range t_rounds'low to t_rounds'high+1;
  66. type t_datatable1d is array (0 to 3) of std_logic_vector(7 downto 0);
  67. type t_datatable2d is array (0 to 3) of t_datatable1d;
  68. type t_stable1d is array (0 to 15) of std_logic_vector(7 downto 0);
  69. type t_stable2d is array (0 to 15) of t_stable1d;
  70. type t_key is array (0 to 3) of std_logic_vector(31 downto 0);
  71. type t_rcon is array (0 to 9) of t_datatable1d;
  72. constant c_sbox : t_stable2d := (
  73. -- 0 1 2 3 4 5 6 7 8 9 A B C D E F
  74. (x"63", x"7c", x"77", x"7b", x"f2", x"6b", x"6f", x"c5", x"30", x"01", x"67", x"2b", x"fe", x"d7", x"ab", x"76"), -- 0
  75. (x"ca", x"82", x"c9", x"7d", x"fa", x"59", x"47", x"f0", x"ad", x"d4", x"a2", x"af", x"9c", x"a4", x"72", x"c0"), -- 1
  76. (x"b7", x"fd", x"93", x"26", x"36", x"3f", x"f7", x"cc", x"34", x"a5", x"e5", x"f1", x"71", x"d8", x"31", x"15"), -- 2
  77. (x"04", x"c7", x"23", x"c3", x"18", x"96", x"05", x"9a", x"07", x"12", x"80", x"e2", x"eb", x"27", x"b2", x"75"), -- 3
  78. (x"09", x"83", x"2c", x"1a", x"1b", x"6e", x"5a", x"a0", x"52", x"3b", x"d6", x"b3", x"29", x"e3", x"2f", x"84"), -- 4
  79. (x"53", x"d1", x"00", x"ed", x"20", x"fc", x"b1", x"5b", x"6a", x"cb", x"be", x"39", x"4a", x"4c", x"58", x"cf"), -- 5
  80. (x"d0", x"ef", x"aa", x"fb", x"43", x"4d", x"33", x"85", x"45", x"f9", x"02", x"7f", x"50", x"3c", x"9f", x"a8"), -- 6
  81. (x"51", x"a3", x"40", x"8f", x"92", x"9d", x"38", x"f5", x"bc", x"b6", x"da", x"21", x"10", x"ff", x"f3", x"d2"), -- 7
  82. (x"cd", x"0c", x"13", x"ec", x"5f", x"97", x"44", x"17", x"c4", x"a7", x"7e", x"3d", x"64", x"5d", x"19", x"73"), -- 8
  83. (x"60", x"81", x"4f", x"dc", x"22", x"2a", x"90", x"88", x"46", x"ee", x"b8", x"14", x"de", x"5e", x"0b", x"db"), -- 9
  84. (x"e0", x"32", x"3a", x"0a", x"49", x"06", x"24", x"5c", x"c2", x"d3", x"ac", x"62", x"91", x"95", x"e4", x"79"), -- A
  85. (x"e7", x"c8", x"37", x"6d", x"8d", x"d5", x"4e", x"a9", x"6c", x"56", x"f4", x"ea", x"65", x"7a", x"ae", x"08"), -- B
  86. (x"ba", x"78", x"25", x"2e", x"1c", x"a6", x"b4", x"c6", x"e8", x"dd", x"74", x"1f", x"4b", x"bd", x"8b", x"8a"), -- C
  87. (x"70", x"3e", x"b5", x"66", x"48", x"03", x"f6", x"0e", x"61", x"35", x"57", x"b9", x"86", x"c1", x"1d", x"9e"), -- D
  88. (x"e1", x"f8", x"98", x"11", x"69", x"d9", x"8e", x"94", x"9b", x"1e", x"87", x"e9", x"ce", x"55", x"28", x"df"), -- E
  89. (x"8c", x"a1", x"89", x"0d", x"bf", x"e6", x"42", x"68", x"41", x"99", x"2d", x"0f", x"b0", x"54", x"bb", x"16")); -- F
  90. constant c_sbox_invers : t_stable2d := (
  91. -- 0 1 2 3 4 5 6 7 8 9 A B C D E F
  92. (x"52", x"09", x"6a", x"d5", x"30", x"36", x"a5", x"38", x"bf", x"40", x"a3", x"9e", x"81", x"f3", x"d7", x"fb"), -- 0
  93. (x"7c", x"e3", x"39", x"82", x"9b", x"2f", x"ff", x"87", x"34", x"8e", x"43", x"44", x"c4", x"de", x"e9", x"cb"), -- 1
  94. (x"54", x"7b", x"94", x"32", x"a6", x"c2", x"23", x"3d", x"ee", x"4c", x"95", x"0b", x"42", x"fa", x"c3", x"4e"), -- 2
  95. (x"08", x"2e", x"a1", x"66", x"28", x"d9", x"24", x"b2", x"76", x"5b", x"a2", x"49", x"6d", x"8b", x"d1", x"25"), -- 3
  96. (x"72", x"f8", x"f6", x"64", x"86", x"68", x"98", x"16", x"d4", x"a4", x"5c", x"cc", x"5d", x"65", x"b6", x"92"), -- 4
  97. (x"6c", x"70", x"48", x"50", x"fd", x"ed", x"b9", x"da", x"5e", x"15", x"46", x"57", x"a7", x"8d", x"9d", x"84"), -- 5
  98. (x"90", x"d8", x"ab", x"00", x"8c", x"bc", x"d3", x"0a", x"f7", x"e4", x"58", x"05", x"b8", x"b3", x"45", x"06"), -- 6
  99. (x"d0", x"2c", x"1e", x"8f", x"ca", x"3f", x"0f", x"02", x"c1", x"af", x"bd", x"03", x"01", x"13", x"8a", x"6b"), -- 7
  100. (x"3a", x"91", x"11", x"41", x"4f", x"67", x"dc", x"ea", x"97", x"f2", x"cf", x"ce", x"f0", x"b4", x"e6", x"73"), -- 8
  101. (x"96", x"ac", x"74", x"22", x"e7", x"ad", x"35", x"85", x"e2", x"f9", x"37", x"e8", x"1c", x"75", x"df", x"6e"), -- 9
  102. (x"47", x"f1", x"1a", x"71", x"1d", x"29", x"c5", x"89", x"6f", x"b7", x"62", x"0e", x"aa", x"18", x"be", x"1b"), -- A
  103. (x"fc", x"56", x"3e", x"4b", x"c6", x"d2", x"79", x"20", x"9a", x"db", x"c0", x"fe", x"78", x"cd", x"5a", x"f4"), -- B
  104. (x"1f", x"dd", x"a8", x"33", x"88", x"07", x"c7", x"31", x"b1", x"12", x"10", x"59", x"27", x"80", x"ec", x"5f"), -- C
  105. (x"60", x"51", x"7f", x"a9", x"19", x"b5", x"4a", x"0d", x"2d", x"e5", x"7a", x"9f", x"93", x"c9", x"9c", x"ef"), -- D
  106. (x"a0", x"e0", x"3b", x"4d", x"ae", x"2a", x"f5", x"b0", x"c8", x"eb", x"bb", x"3c", x"83", x"53", x"99", x"61"), -- E
  107. (x"17", x"2b", x"04", x"7e", x"ba", x"77", x"d6", x"26", x"e1", x"69", x"14", x"63", x"55", x"21", x"0c", x"7d"));-- F
  108. constant c_rcon : t_rcon := (
  109. (x"01", x"00", x"00", x"00"),
  110. (x"02", x"00", x"00", x"00"),
  111. (x"04", x"00", x"00", x"00"),
  112. (x"08", x"00", x"00", x"00"),
  113. (x"10", x"00", x"00", x"00"),
  114. (x"20", x"00", x"00", x"00"),
  115. (x"40", x"00", x"00", x"00"),
  116. (x"80", x"00", x"00", x"00"),
  117. (x"1B", x"00", x"00", x"00"),
  118. (x"36", x"00", x"00", x"00"));
  119. type t_mode is (ENCRYPT, DECRYPT);
  120. function bytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector;
  121. function invbytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector;
  122. function subbytes (input : in t_datatable2d) return t_datatable2d;
  123. function invsubbytes (input : in t_datatable2d) return t_datatable2d;
  124. function shiftrow (input : t_datatable2d) return t_datatable2d;
  125. function invshiftrow (input : t_datatable2d) return t_datatable2d;
  126. function mixcolumns (input : t_datatable2d) return t_datatable2d;
  127. function invmixcolumns (input : t_datatable2d) return t_datatable2d;
  128. function sortdata (input : std_logic_vector(127 downto 0)) return t_datatable2d;
  129. function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector;
  130. function addroundkey (input : in t_datatable2d; key : in t_key) return t_datatable2d;
  131. function subword (input : in t_datatable1d) return t_datatable1d;
  132. function rotword (input : in t_datatable1d) return t_datatable1d;
  133. function set_state (input : in std_logic_vector(0 to 127)) return t_datatable2d;
  134. function get_state (input : in t_datatable2d) return std_logic_vector;
  135. function to_string(input : t_datatable2d) return string;
  136. end package aes_pkg;
  137. package body aes_pkg is
  138. function sortdata (input : std_logic_vector(127 downto 0)) return t_datatable2d is
  139. variable v_datamatrix : t_datatable2d;
  140. begin
  141. for outdex in 0 to 3 loop
  142. for index in 0 to 3 loop
  143. v_datamatrix(index)(outdex) := input(outdex*32+(index+1)*7 downto outdex*32+index*8);
  144. end loop;
  145. end loop;
  146. return v_datamatrix;
  147. end function sortdata;
  148. function bytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector is
  149. begin
  150. return(c_sbox(to_integer(unsigned(input(7 downto 4))))(to_integer(unsigned(input(3 downto 0)))));
  151. end function bytesub;
  152. function invbytesub (input : std_logic_vector(7 downto 0)) return std_logic_vector is
  153. begin
  154. return(c_sbox_invers(to_integer(unsigned(input(7 downto 4))))(to_integer(unsigned(input(3 downto 0)))));
  155. end function invbytesub;
  156. function subbytes (input : in t_datatable2d) return t_datatable2d is
  157. variable v_data : t_datatable2d;
  158. begin
  159. for column in 0 to 3 loop
  160. for row in 0 to 3 loop
  161. v_data(row)(column) := c_sbox(to_integer(unsigned(input(row)(column)(7 downto 4))))(to_integer(unsigned(input(row)(column)(3 downto 0))));
  162. end loop;
  163. end loop;
  164. return v_data;
  165. end function subbytes;
  166. function invsubbytes (input : in t_datatable2d) return t_datatable2d is
  167. variable v_data : t_datatable2d;
  168. begin
  169. for column in 0 to 3 loop
  170. for row in 0 to 3 loop
  171. v_data(row)(column) := c_sbox_invers(to_integer(unsigned(input(row)(column)(7 downto 4))))(to_integer(unsigned(input(row)(column)(3 downto 0))));
  172. end loop;
  173. end loop;
  174. return v_data;
  175. end function invsubbytes;
  176. function shiftrow (input : t_datatable2d) return t_datatable2d is
  177. variable v_datamatrix : t_datatable2d;
  178. begin
  179. -- copy input in internal matrix
  180. v_datamatrix := input;
  181. -- 2nd row
  182. v_datamatrix(1)(0) := input(1)(1);
  183. v_datamatrix(1)(1) := input(1)(2);
  184. v_datamatrix(1)(2) := input(1)(3);
  185. v_datamatrix(1)(3) := input(1)(0);
  186. -- 3rd row
  187. v_datamatrix(2)(0) := input(2)(2);
  188. v_datamatrix(2)(1) := input(2)(3);
  189. v_datamatrix(2)(2) := input(2)(0);
  190. v_datamatrix(2)(3) := input(2)(1);
  191. -- 4rd row
  192. v_datamatrix(3)(0) := input(3)(3);
  193. v_datamatrix(3)(1) := input(3)(0);
  194. v_datamatrix(3)(2) := input(3)(1);
  195. v_datamatrix(3)(3) := input(3)(2);
  196. -- return manipulated internal matrix
  197. return v_datamatrix;
  198. end function shiftrow;
  199. function invshiftrow (input : t_datatable2d) return t_datatable2d is
  200. variable v_datamatrix : t_datatable2d;
  201. begin
  202. -- copy input in internal matrix
  203. v_datamatrix := input;
  204. -- 2nd row
  205. v_datamatrix(1)(0) := input(1)(3);
  206. v_datamatrix(1)(1) := input(1)(0);
  207. v_datamatrix(1)(2) := input(1)(1);
  208. v_datamatrix(1)(3) := input(1)(2);
  209. -- 3rd row
  210. v_datamatrix(2)(0) := input(2)(2);
  211. v_datamatrix(2)(1) := input(2)(3);
  212. v_datamatrix(2)(2) := input(2)(0);
  213. v_datamatrix(2)(3) := input(2)(1);
  214. -- 4rd row
  215. v_datamatrix(3)(0) := input(3)(1);
  216. v_datamatrix(3)(1) := input(3)(2);
  217. v_datamatrix(3)(2) := input(3)(3);
  218. v_datamatrix(3)(3) := input(3)(0);
  219. -- return manipulated internal matrix
  220. return v_datamatrix;
  221. end function invshiftrow;
  222. -- trivial algorithmus to multiply two bytes in the GF(2^8) finite field defined
  223. -- by the polynomial x^8 + x^4 + x^3 + x + 1
  224. -- taken from http://www.codeplanet.eu/tutorials/cpp/51-advanced-encryption-standard.html
  225. -- and ported to vhdl
  226. function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector is
  227. variable v_a, v_b : std_logic_vector(7 downto 0);
  228. variable v_data : std_logic_vector(7 downto 0) := (others => '0');
  229. variable v_hi_bit_set : boolean;
  230. begin
  231. v_a := a;
  232. v_b := b;
  233. for index in 0 to 7 loop
  234. if(v_b(0) = '1') then
  235. v_data := v_data xor v_a;
  236. end if;
  237. v_hi_bit_set := v_a(7) = '1';
  238. v_a := v_a(6 downto 0) & '0';
  239. if (v_hi_bit_set) then
  240. v_a := v_a xor x"1B";
  241. end if;
  242. v_b := '0' & v_b(7 downto 1);
  243. end loop;
  244. return v_data;
  245. end function gmul;
  246. -- matrix columns manipulation
  247. function mixcolumns (input : t_datatable2d) return t_datatable2d is
  248. variable v_data : t_datatable2d;
  249. begin
  250. for column in 0 to 3 loop
  251. v_data(0)(column) := gmul(x"02", input(0)(column)) xor gmul(x"03", input(1)(column)) xor input(2)(column) xor input(3)(column);
  252. v_data(1)(column) := input(0)(column) xor gmul(x"02", input(1)(column)) xor gmul(x"03",input(2)(column)) xor input(3)(column);
  253. v_data(2)(column) := input(0)(column) xor input(1)(column) xor gmul(x"02",input(2)(column)) xor gmul(x"03",input(3)(column));
  254. v_data(3)(column) := gmul(x"03", input(0)(column)) xor input(1)(column) xor input(2)(column) xor gmul(x"02",input(3)(column));
  255. end loop;
  256. return v_data;
  257. end function mixcolumns;
  258. -- matrix columns manipulation
  259. function invmixcolumns (input : t_datatable2d) return t_datatable2d is
  260. variable v_data : t_datatable2d;
  261. begin
  262. for column in 0 to 3 loop
  263. v_data(0)(column) := gmul(x"0E", input(0)(column)) xor gmul(x"0B", input(1)(column)) xor gmul(x"0D", input(2)(column)) xor gmul(x"09", input(3)(column));
  264. v_data(1)(column) := gmul(x"09", input(0)(column)) xor gmul(x"0E", input(1)(column)) xor gmul(x"0B", input(2)(column)) xor gmul(x"0D", input(3)(column));
  265. v_data(2)(column) := gmul(x"0D", input(0)(column)) xor gmul(x"09", input(1)(column)) xor gmul(x"0E", input(2)(column)) xor gmul(x"0B", input(3)(column));
  266. v_data(3)(column) := gmul(x"0B", input(0)(column)) xor gmul(x"0D", input(1)(column)) xor gmul(x"09", input(2)(column)) xor gmul(x"0E", input(3)(column));
  267. end loop;
  268. return v_data;
  269. end function invmixcolumns;
  270. function addroundkey (input : in t_datatable2d; key : in t_key) return t_datatable2d is
  271. variable v_data : t_datatable2d;
  272. variable v_key : t_datatable1d;
  273. begin
  274. for column in 0 to 3 loop
  275. v_key := (key(column)(31 downto 24), key(column)(23 downto 16), key(column)(15 downto 8), key(column)(7 downto 0));
  276. for row in 0 to 3 loop
  277. v_data(row)(column) := input(row)(column) xor v_key(row);
  278. end loop;
  279. end loop;
  280. return v_data;
  281. end function addroundkey;
  282. function subword (input : in t_datatable1d) return t_datatable1d is
  283. variable v_data : t_datatable1d;
  284. begin
  285. for i in 0 to 3 loop
  286. v_data(i) := c_sbox(to_integer(unsigned(input(i)(7 downto 4))))(to_integer(unsigned(input(i)(3 downto 0))));
  287. end loop;
  288. return v_data;
  289. end function subword;
  290. function rotword (input : in t_datatable1d) return t_datatable1d is
  291. begin
  292. return(input(1), input(2), input(3), input(0));
  293. end function rotword;
  294. function set_state (input : in std_logic_vector(0 to 127)) return t_datatable2d is
  295. variable v_data : t_datatable2d;
  296. begin
  297. for column in 0 to 3 loop
  298. for row in 0 to 3 loop
  299. v_data(row)(column) := input(row*8+column*32 to row*8+column*32+7);
  300. end loop;
  301. end loop;
  302. return v_data;
  303. end function set_state;
  304. function get_state (input : in t_datatable2d) return std_logic_vector is
  305. begin
  306. return input(0)(0) & input(1)(0) & input(2)(0) & input(3)(0) &
  307. input(0)(1) & input(1)(1) & input(2)(1) & input(3)(1) &
  308. input(0)(2) & input(1)(2) & input(2)(2) & input(3)(2) &
  309. input(0)(3) & input(1)(3) & input(2)(3) & input(3)(3);
  310. end function get_state;
  311. function to_string(input : t_datatable2d) return string is
  312. begin
  313. return '(' & to_hstring(input(0)(0)) & ',' & to_hstring(input(0)(1)) & ',' & to_hstring(input(0)(2)) & ',' & to_hstring(input(0)(3)) & ')' & LF &
  314. '(' & to_hstring(input(1)(0)) & ',' & to_hstring(input(1)(1)) & ',' & to_hstring(input(1)(2)) & ',' & to_hstring(input(1)(3)) & ')' & LF &
  315. '(' & to_hstring(input(2)(0)) & ',' & to_hstring(input(2)(1)) & ',' & to_hstring(input(2)(2)) & ',' & to_hstring(input(2)(3)) & ')' & LF &
  316. '(' & to_hstring(input(3)(0)) & ',' & to_hstring(input(3)(1)) & ',' & to_hstring(input(3)(2)) & ',' & to_hstring(input(3)(3)) & ')';
  317. end function to_string;
  318. end package body aes_pkg;