diff --git a/des/rtl/vhdl/des.vhd b/des/rtl/vhdl/des.vhd index bb3dd6b..ede2a32 100644 --- a/des/rtl/vhdl/des.vhd +++ b/des/rtl/vhdl/des.vhd @@ -380,7 +380,6 @@ begin v_mode := NOP; s_accept <= '0'; s_valid <= '0'; - --data_o <= (others => '0'); elsif rising_edge(clk_i) then case v_rnd_cnt is @@ -388,7 +387,6 @@ begin when 0 => s_accept <= '1'; s_valid <= '0'; - --data_o <= (others => '0'); if (valid_i = '1' and s_accept = '1') then s_accept <= '0'; s_valid <= '0'; @@ -613,7 +611,6 @@ begin when 17 => s_valid <= '1'; - data_o <= ipn(s_r & s_l); if (s_valid = '1') then if(accept_i = '1') then s_valid <= '0';