From 0feb33c308df6a8f8276b148ff82a5f3bbdba807 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Wed, 21 Sep 2011 23:09:34 +0200 Subject: [PATCH] extended simulation time to 11 us --- des/sim/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/des/sim/makefile b/des/sim/makefile index 4f26a39..52527c6 100644 --- a/des/sim/makefile +++ b/des/sim/makefile @@ -30,7 +30,7 @@ sim : tb_des.ghw tb_des.ghw : ../rtl/*.vhd tb_des.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd ghdl -e tb_des - ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=7us + ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us wave : tb_des.ghw gtkwave tb_des.ghw