From 1d858ce952ed4fc749d52f71b8b55abfa7280d7f Mon Sep 17 00:00:00 2001 From: tmeissner Date: Tue, 8 Jul 2014 20:42:24 +0200 Subject: [PATCH] added removing of tb_tdes binary and *.o files in clean target --- tdes/sim/vhdl/makefile | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/tdes/sim/vhdl/makefile b/tdes/sim/vhdl/makefile index 05b7eb8..6ec2d9a 100644 --- a/tdes/sim/vhdl/makefile +++ b/tdes/sim/vhdl/makefile @@ -32,9 +32,11 @@ tb_tdes.ghw : $(SRC_FILES) tb_tdes.vhd ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us wave : tb_tdes.ghw - gtkwave -T tb_tdes.tcl tb_tdes.ghw + gtkwave -s tb_tdes.tcl tb_tdes.ghw clean : echo "# cleaning simulation files" rm -f *.ghw + rm -f *.o + rm -f tb_tdes rm -f work*.cf