diff --git a/des/sim/verilog/data_output.txt b/des/sim/verilog/data_output.txt new file mode 100644 index 0000000..7672096 --- /dev/null +++ b/des/sim/verilog/data_output.txt @@ -0,0 +1,128 @@ +95F8A5E5DD31D900 +DD7F121CA5015619 +2E8653104F3834EA +4BD388FF6CD81D4F +20B9E767B2FB1456 +55579380D77138EF +6CC5DEFAAF04512F +0D9F279BA5D87260 +D9031B0271BD5A0A +424250B37C3DD951 +B8061B7ECD9A21E5 +F15D0F286B65BD28 +ADD0CC8D6E5DEBA1 +E6D5F82752AD63D1 +ECBFE3BD3F591A5E +F356834379D165CD +2B9F982F20037FA9 +889DE068A16F0BE6 +E19E275D846A1298 +329A8ED523D71AEC +E7FCE22557D23C97 +12A9F5817FF2D65D +A484C3AD38DC9C19 +FBE00A8A1EF8AD72 +750D079407521363 +64FEED9C724C2FAF +F02B263B328E2B60 +9D64555A9A10B852 +D106FF0BED5255D7 +E1652C6B138C64A5 +E428581186EC8F46 +AEB5F5EDE22D1A36 +E943D7568AEC0C5C +DF98C8276F54B04B +B160E4680F6C696F +FA0752B07D9C4AB8 +CA3A2B036DBC8502 +5E0905517BB59BCF +814EEB3B91D90726 +4D49DB1532919C9F +25EB5FC3F8CF0621 +AB6A20C0620D1C6F +79E90DBC98F92CCA +866ECEDD8072BB0E +8B54536F2F3E64A8 +EA51D3975595B86B +CAFFC6AC4542DE31 +8DD45A2DDF90796C +1029D55E880EC2D0 +5D86CB23639DBEA9 +1D1CA853AE7C0C5F +CE332329248F3228 +8405D1ABE24FB942 +E643D78090CA4207 +48221B9937748A23 +DD7C0BBD61FAFD54 +2FBC291A570DB5C4 +E07C30D7E4E26E12 +0953E2258E8E90A1 +5B711BC4CEEBF2EE +CC083F1E6D9E85F6 +D2FD8867D50D2DFE +06E7EA22CE92708F +166B40B44ABA4BD6 +8000000000000000 +4000000000000000 +2000000000000000 +1000000000000000 +0800000000000000 +0400000000000000 +0200000000000000 +0100000000000000 +0080000000000000 +0040000000000000 +0020000000000000 +0010000000000000 +0008000000000000 +0004000000000000 +0002000000000000 +0001000000000000 +0000800000000000 +0000400000000000 +0000200000000000 +0000100000000000 +0000080000000000 +0000040000000000 +0000020000000000 +0000010000000000 +0000008000000000 +0000004000000000 +0000002000000000 +0000001000000000 +0000000800000000 +0000000400000000 +0000000200000000 +0000000100000000 +0000000080000000 +0000000040000000 +0000000020000000 +0000000010000000 +0000000008000000 +0000000004000000 +0000000002000000 +0000000001000000 +0000000000800000 +0000000000400000 +0000000000200000 +0000000000100000 +0000000000080000 +0000000000040000 +0000000000020000 +0000000000010000 +0000000000008000 +0000000000004000 +0000000000002000 +0000000000001000 +0000000000000800 +0000000000000400 +0000000000000200 +0000000000000100 +0000000000000080 +0000000000000040 +0000000000000020 +0000000000000010 +0000000000000008 +0000000000000004 +0000000000000002 +0000000000000001 \ No newline at end of file diff --git a/des/sim/verilog/key_input.txt b/des/sim/verilog/key_input.txt new file mode 100644 index 0000000..ff421da --- /dev/null +++ b/des/sim/verilog/key_input.txt @@ -0,0 +1,128 @@ +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 +0101010101010101 \ No newline at end of file