diff --git a/des/sim/vhdl/makefile b/des/sim/vhdl/makefile index b2db549..c70c4c8 100644 --- a/des/sim/vhdl/makefile +++ b/des/sim/vhdl/makefile @@ -34,6 +34,7 @@ wave : tb_des.ghw clean : echo "# cleaning simulation files" + rm -f tb_des rm -f *.o rm -f tb_des.ghw rm -f work*.cf