From 393757693eb58745e74959ffac197708f4cd5f99 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Sun, 22 Mar 2015 13:49:08 +0100 Subject: [PATCH] add removing of testbench binary to clean target --- des/sim/vhdl/makefile | 1 + 1 file changed, 1 insertion(+) diff --git a/des/sim/vhdl/makefile b/des/sim/vhdl/makefile index b2db549..c70c4c8 100644 --- a/des/sim/vhdl/makefile +++ b/des/sim/vhdl/makefile @@ -34,6 +34,7 @@ wave : tb_des.ghw clean : echo "# cleaning simulation files" + rm -f tb_des rm -f *.o rm -f tb_des.ghw rm -f work*.cf