From 3c878ff054d49f6875ec9c2b0e2e187aa037e350 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Sun, 25 Mar 2012 00:14:38 +0100 Subject: [PATCH] moved vhdl testbench files into separate directory vhdl under sim --- des/sim/makefile | 38 -------------------------------------- 1 file changed, 38 deletions(-) delete mode 100644 des/sim/makefile diff --git a/des/sim/makefile b/des/sim/makefile deleted file mode 100644 index 5c8a91d..0000000 --- a/des/sim/makefile +++ /dev/null @@ -1,38 +0,0 @@ -# ====================================================================== -# DES encryption/decryption -# algorithm according to FIPS 46-3 specification -# Copyright (C) 2011 Torsten Meissner -#----------------------------------------------------------------------- -# This program is free software; you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation; either version 2 of the License, or -# (at your option) any later version. - -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. - -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -# ====================================================================== - - -all : sim wave - -sim : tb_des.ghw - - -tb_des.ghw : ../rtl/*.vhd tb_des.vhd - ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd - ghdl -e tb_des - ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us - -wave : tb_des.ghw - gtkwave -T tb_des.tcl tb_des.ghw - -clean : - echo "# cleaning simulation files" - rm -f tb_des.ghw - rm -f work*.cf