From 455bcaa289ab9139258ce4af8cf135db404fb08e Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Fri, 2 Mar 2012 12:50:28 +0100 Subject: [PATCH] ovl standard enable, fixed minor bug in pkg --- aes/rtl/vhdl/aes_pkg_ovl.vhd | 2 +- aes/sim/vhdl/makefile | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/aes/rtl/vhdl/aes_pkg_ovl.vhd b/aes/rtl/vhdl/aes_pkg_ovl.vhd index 313e0ef..83b418d 100644 --- a/aes/rtl/vhdl/aes_pkg_ovl.vhd +++ b/aes/rtl/vhdl/aes_pkg_ovl.vhd @@ -107,7 +107,7 @@ package aes_pkg is function shiftrow (input : t_datatable2d) return t_datatable2d; function invshiftrow (input : t_datatable2d) return t_datatable2d; - function mixcolumns (input : t_datatable2d) return t_datatable2d; + function mixcolumns (input : t_datatable2d; column : natural) return t_datatable2d; function sortdata (input : std_logic_vector(127 downto 0)) return t_datatable2d; diff --git a/aes/sim/vhdl/makefile b/aes/sim/vhdl/makefile index 3ba2b91..b4866f0 100644 --- a/aes/sim/vhdl/makefile +++ b/aes/sim/vhdl/makefile @@ -21,7 +21,7 @@ # enable the compile of the OVL library # if set to 1, you must have the OVL_SRC files in the OVL_LOC directory -OVL_ENABLE = 0 +OVL_ENABLE = 1 # the location and sources of the OVL library (copyright of Accellera) # OVL is not included in this project, you can download it from