diff --git a/des/sim/makefile b/des/sim/makefile index a2bb80e..fc382be 100644 --- a/des/sim/makefile +++ b/des/sim/makefile @@ -30,7 +30,7 @@ sim : tb_des.ghw tb_des.ghw : ../rtl/*.vhd tb_des.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd ghdl -e tb_des - ghdl -r tb_des --wave=tb_des.ghw --stop-time=1us + ghdl -r tb_des --wave=tb_des.ghw --stop-time=1400ns wave : tb_des.ghw gtkwave tb_des.ghw