From 5b924ff4e2ac471ab9b33f26532a201dc3ed0daf Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Wed, 5 Oct 2011 22:18:46 +0200 Subject: [PATCH] expanded simulation time to 200 us for decryption testcases --- cbcdes/sim/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/cbcdes/sim/makefile b/cbcdes/sim/makefile index c58fc6e..63be761 100644 --- a/cbcdes/sim/makefile +++ b/cbcdes/sim/makefile @@ -30,7 +30,7 @@ sim : tb_cbcdes.ghw tb_cbcdes.ghw : ../rtl/*.vhd tb_cbcdes.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/cbcdes.vhd tb_cbcdes.vhd ghdl -e tb_cbcdes - ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=100us + ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=200us wave : tb_cbcdes.ghw gtkwave tb_cbcdes.ghw