diff --git a/cbctdes/sim/makefile b/cbctdes/sim/vhdl/makefile similarity index 100% rename from cbctdes/sim/makefile rename to cbctdes/sim/vhdl/makefile diff --git a/cbctdes/sim/tb_cbctdes.tcl b/cbctdes/sim/vhdl/tb_cbctdes.tcl similarity index 100% rename from cbctdes/sim/tb_cbctdes.tcl rename to cbctdes/sim/vhdl/tb_cbctdes.tcl diff --git a/cbctdes/sim/tb_cbctdes.vhd b/cbctdes/sim/vhdl/tb_cbctdes.vhd similarity index 100% rename from cbctdes/sim/tb_cbctdes.vhd rename to cbctdes/sim/vhdl/tb_cbctdes.vhd