From 8909aa0d9a50c2b6f38fabdd5ac76098f66046c3 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Sun, 25 Sep 2011 14:56:27 +0200 Subject: [PATCH] expanded simulation time to 100 us for encryption testcases --- cbcdes/sim/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/cbcdes/sim/makefile b/cbcdes/sim/makefile index 6b2c0e3..c58fc6e 100644 --- a/cbcdes/sim/makefile +++ b/cbcdes/sim/makefile @@ -30,7 +30,7 @@ sim : tb_cbcdes.ghw tb_cbcdes.ghw : ../rtl/*.vhd tb_cbcdes.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/cbcdes.vhd tb_cbcdes.vhd ghdl -e tb_cbcdes - ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=30us + ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=100us wave : tb_cbcdes.ghw gtkwave tb_cbcdes.ghw