diff --git a/aes/rtl/vhdl/aes.vhd b/aes/rtl/vhdl/aes.vhd index 817cb97..828cc29 100644 --- a/aes/rtl/vhdl/aes.vhd +++ b/aes/rtl/vhdl/aes.vhd @@ -26,10 +26,8 @@ use ieee.numeric_std.all; use work.aes_pkg.all; + entity aes is - generic ( - ovl_enable : in boolean - ); port ( reset_i : in std_logic; -- async reset clk_i : in std_logic; -- clock @@ -43,6 +41,7 @@ entity aes is end entity aes; + architecture rtl of aes is diff --git a/aes/rtl/vhdl/aes_pkg.vhd b/aes/rtl/vhdl/aes_pkg.vhd index 2e4e509..3a0762e 100644 --- a/aes/rtl/vhdl/aes_pkg.vhd +++ b/aes/rtl/vhdl/aes_pkg.vhd @@ -24,6 +24,7 @@ use ieee.std_logic_1164.all; use ieee.numeric_std.all; + package aes_pkg is @@ -88,6 +89,7 @@ package aes_pkg is end package aes_pkg; + package body aes_pkg is