diff --git a/des/rtl/vhdl/des_pkg.vhd b/des/rtl/vhdl/des_pkg.vhd index 220704e..d485b64 100644 --- a/des/rtl/vhdl/des_pkg.vhd +++ b/des/rtl/vhdl/des_pkg.vhd @@ -19,10 +19,6 @@ -- ====================================================================== --- Revision 1.0 2007/02/04 --- Initial release - - LIBRARY ieee; USE ieee.std_logic_1164.all;