From a51f0ef35b7e8cf61a1aa85ea80d8b79bce8e084 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Sun, 29 Dec 2013 16:14:59 +0100 Subject: [PATCH] beauty care --- des/rtl/vhdl/des_pkg.vhd | 4 ---- 1 file changed, 4 deletions(-) diff --git a/des/rtl/vhdl/des_pkg.vhd b/des/rtl/vhdl/des_pkg.vhd index 220704e..d485b64 100644 --- a/des/rtl/vhdl/des_pkg.vhd +++ b/des/rtl/vhdl/des_pkg.vhd @@ -19,10 +19,6 @@ -- ====================================================================== --- Revision 1.0 2007/02/04 --- Initial release - - LIBRARY ieee; USE ieee.std_logic_1164.all;