From a83081760fa002d4215a58f229832e342ffe25ac Mon Sep 17 00:00:00 2001 From: tmeissner Date: Tue, 8 Jul 2014 01:34:54 +0200 Subject: [PATCH] added prototype of addroundkey() function --- aes/rtl/vhdl/aes_pkg.vhd | 2 ++ 1 file changed, 2 insertions(+) diff --git a/aes/rtl/vhdl/aes_pkg.vhd b/aes/rtl/vhdl/aes_pkg.vhd index 7afeadc..ed4baa6 100644 --- a/aes/rtl/vhdl/aes_pkg.vhd +++ b/aes/rtl/vhdl/aes_pkg.vhd @@ -85,6 +85,8 @@ package aes_pkg is function gmul (a : std_logic_vector(7 downto 0); b : std_logic_vector(7 downto 0)) return std_logic_vector; + function addroundkey (data : in std_logic_vector(127 downto 0), key ) + end package aes_pkg;