From b003e5e419adcaf71156e6212ace3c9ed25c0759 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Fri, 27 Jan 2012 21:42:56 +0100 Subject: [PATCH] you can now include the OVL library if you set the OVL_ENABLE flag to 1 - new variable OVL_ENABLE to enable OVL compile - new variables OVL_LOC & OVL_SRC which point to the OVL library files - new GHDL analyze of the OVL library --- des/sim/makefile | 24 ++++++++++++++++++++---- 1 file changed, 20 insertions(+), 4 deletions(-) diff --git a/des/sim/makefile b/des/sim/makefile index 2968ff6..086c537 100644 --- a/des/sim/makefile +++ b/des/sim/makefile @@ -19,19 +19,35 @@ # ====================================================================== -# Revision 1.0 2011/09/17 -# Initial release +# enable the compile of the OVL library +# if set to 1, you must have the OVL_SRC files in the OVL_LOC directory +OVL_ENABLE = 0 + +# the location and sources of the OVL library (copyright of Accellera) +# OVL is not included in this project, you can download it from +# the Accellera homepage: http://www.accellera.org/downloads/standards/ovl/ +OVL_LOC = ../../../../OVL/ +ifeq ($(OVL_ENABLE), 1) + OVL_SRC = $(OVL_LOC)/std_ovl.vhd $(OVL_LOC)/std_ovl_components.vhd \ + $(OVL_LOC)/std_ovl_procs.vhd $(OVL_LOC)/std_ovl_clock_gating.vhd \ + $(OVL_LOC)/std_ovl_reset_gating.vhd $(OVL_LOC)/ovl_*.vhd \ + $(OVL_LOC)/vhdl93/ovl_*_rtl.vhd +else + OVL_SRC = +endif all : sim wave sim : tb_des.ghw -tb_des.ghw : ../rtl/*.vhd tb_des.vhd + +tb_des.ghw : ../rtl/*.vhd tb_des.vhd $(OVL_SRC) + ghdl -a $(OVL_SRC) ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd ghdl -e tb_des ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us - + wave : tb_des.ghw gtkwave -T tb_des.tcl tb_des.ghw