diff --git a/des/rtl/verilog/des.v b/des/rtl/verilog/des.v index 2945bae..dd94799 100644 --- a/des/rtl/verilog/des.v +++ b/des/rtl/verilog/des.v @@ -19,6 +19,8 @@ // ====================================================================== +`timescale 1ns/1ps + module des ( diff --git a/des/sim/verilog/tb_des.tcl b/des/sim/verilog/tb_des.tcl index 871b489..46bbb0e 100644 --- a/des/sim/verilog/tb_des.tcl +++ b/des/sim/verilog/tb_des.tcl @@ -7,5 +7,4 @@ lappend signals "tb_des.key" lappend signals "tb_des.datain" lappend signals "tb_des.validout" lappend signals "tb_des.dataout" -lappend signals "tb_des.outdex" set num_added [ gtkwave::addSignalsFromList $signals ] diff --git a/des/sim/verilog/tb_des.v b/des/sim/verilog/tb_des.v index d3360d5..7d9d09c 100644 --- a/des/sim/verilog/tb_des.v +++ b/des/sim/verilog/tb_des.v @@ -18,6 +18,8 @@ // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA // ====================================================================== +`timescale 1ns/1ps + module tb_des;