diff --git a/cbcdes/sim/makefile b/cbcdes/sim/makefile index f5fb6f5..111a13b 100644 --- a/cbcdes/sim/makefile +++ b/cbcdes/sim/makefile @@ -33,7 +33,7 @@ tb_cbcdes.ghw : ../rtl/*.vhd tb_cbcdes.vhd ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=220us wave : tb_cbcdes.ghw - gtkwave tb_cbcdes.ghw + gtkwave -T tb_cbcdes.tcl tb_cbcdes.ghw clean : echo "# cleaning simulation files" diff --git a/cbcdes/sim/tb_cbcdes.tcl b/cbcdes/sim/tb_cbcdes.tcl new file mode 100644 index 0000000..681f0bd --- /dev/null +++ b/cbcdes/sim/tb_cbcdes.tcl @@ -0,0 +1,13 @@ +set signals [list] +lappend signals "top.tb_cbcdes.s_reset" +lappend signals "top.tb_cbcdes.s_clk" +lappend signals "top.tb_cbcdes.s_validin" +lappend signals "top.tb_cbcdes.s_start" +lappend signals "top.tb_cbcdes.s_mode" +lappend signals "top.tb_cbcdes.s_key" +lappend signals "top.tb_cbcdes.s_iv" +lappend signals "top.tb_cbcdes.s_datain" +lappend signals "top.tb_cbcdes.s_validout" +lappend signals "top.tb_cbcdes.s_dataout" +lappend signals "top.tb_cbcdes.s_ready" +set num_added [ gtkwave::addSignalsFromList $signals ] diff --git a/cbctdes/sim/makefile b/cbctdes/sim/makefile index b43f80e..2b49100 100644 --- a/cbctdes/sim/makefile +++ b/cbctdes/sim/makefile @@ -29,7 +29,7 @@ tb_cbctdes.ghw : ../rtl/*.vhd tb_cbctdes.vhd ghdl -r tb_cbctdes --wave=tb_cbctdes.ghw --assert-level=error --stop-time=45us wave : tb_cbctdes.ghw - gtkwave tb_cbctdes.ghw + gtkwave -T tb_cbctdes.tcl tb_cbctdes.ghw clean : echo "# cleaning simulation files" diff --git a/cbctdes/sim/tb_cbctdes.tcl b/cbctdes/sim/tb_cbctdes.tcl new file mode 100644 index 0000000..436211a --- /dev/null +++ b/cbctdes/sim/tb_cbctdes.tcl @@ -0,0 +1,13 @@ +set signals [list] +lappend signals "top.tb_cbctdes.s_reset" +lappend signals "top.tb_cbctdes.s_clk" +lappend signals "top.tb_cbctdes.s_validin" +lappend signals "top.tb_cbctdes.s_start" +lappend signals "top.tb_cbctdes.s_mode" +lappend signals "top.tb_cbctdes.s_key" +lappend signals "top.tb_cbctdes.s_iv" +lappend signals "top.tb_cbctdes.s_datain" +lappend signals "top.tb_cbctdes.s_validout" +lappend signals "top.tb_cbctdes.s_dataout" +lappend signals "top.tb_cbctdes.s_ready" +set num_added [ gtkwave::addSignalsFromList $signals ] diff --git a/tdes/sim/makefile b/tdes/sim/makefile index 0743149..5d92e15 100644 --- a/tdes/sim/makefile +++ b/tdes/sim/makefile @@ -33,7 +33,7 @@ tb_tdes.ghw : ../rtl/*.vhd tb_tdes.vhd ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us wave : tb_tdes.ghw - gtkwave tb_tdes.ghw + gtkwave -T tb_tdes.tcl tb_tdes.ghw clean : echo "# cleaning simulation files" diff --git a/tdes/sim/tb_tdes.tcl b/tdes/sim/tb_tdes.tcl new file mode 100644 index 0000000..0dd3826 --- /dev/null +++ b/tdes/sim/tb_tdes.tcl @@ -0,0 +1,13 @@ +set signals [list] +lappend signals "top.tb_tdes.s_reset" +lappend signals "top.tb_tdes.s_clk" +lappend signals "top.tb_tdes.s_validin" +lappend signals "top.tb_tdes.s_mode" +lappend signals "top.tb_tdes.s_key1" +lappend signals "top.tb_tdes.s_key2" +lappend signals "top.tb_tdes.s_key3" +lappend signals "top.tb_tdes.s_datain" +lappend signals "top.tb_tdes.s_validout" +lappend signals "top.tb_tdes.s_dataout" +lappend signals "top.tb_tdes.s_ready" +set num_added [ gtkwave::addSignalsFromList $signals ]