From cc9989e9973ed3a1f1746546fb45c17584fed611 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Sat, 17 Sep 2011 14:14:37 +0200 Subject: [PATCH] makefile for simulation environment, needs ghdl and gtkwave to work --- des/sim/makefile | 41 +++++++++++++++++++++++++++++++++++++++++ 1 file changed, 41 insertions(+) create mode 100644 des/sim/makefile diff --git a/des/sim/makefile b/des/sim/makefile new file mode 100644 index 0000000..a2bb80e --- /dev/null +++ b/des/sim/makefile @@ -0,0 +1,41 @@ +# ====================================================================== +# DES encryption/decryption +# algorithm according to FIPS 46-3 specification +# Copyright (C) 2011 Torsten Meissner +#----------------------------------------------------------------------- +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. + +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. + +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA +# ====================================================================== + + +# Revision 1.0 2011/09/17 +# Initial release + + +all : sim wave + +sim : tb_des.ghw + +tb_des.ghw : ../rtl/*.vhd tb_des.vhd + ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd + ghdl -e tb_des + ghdl -r tb_des --wave=tb_des.ghw --stop-time=1us + +wave : tb_des.ghw + gtkwave tb_des.ghw + +clean : + echo "# cleaning simulation files" + rm -f tb_des.ghw + rm -f work*.cf \ No newline at end of file