From cfd20a9bbc2d392eb45f4b9ad28eb867f89876ce Mon Sep 17 00:00:00 2001 From: tmeissner Date: Sun, 22 Mar 2015 12:46:46 +0100 Subject: [PATCH] add removing of object files to clean target --- des/sim/vhdl/makefile | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/des/sim/vhdl/makefile b/des/sim/vhdl/makefile index 38f7eb1..b2db549 100644 --- a/des/sim/vhdl/makefile +++ b/des/sim/vhdl/makefile @@ -27,12 +27,13 @@ sim : tb_des.ghw tb_des.ghw : ../../rtl/vhdl/*.vhd tb_des.vhd ghdl -a ../../rtl/vhdl/des_pkg.vhd ../../rtl/vhdl/des.vhd tb_des.vhd ghdl -e tb_des - ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us + ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=100us wave : tb_des.ghw gtkwave -S tb_des.tcl tb_des.ghw - + clean : echo "# cleaning simulation files" + rm -f *.o rm -f tb_des.ghw rm -f work*.cf