diff --git a/tdes/sim/vhdl/makefile b/tdes/sim/vhdl/makefile index 1d54344..05b7eb8 100644 --- a/tdes/sim/vhdl/makefile +++ b/tdes/sim/vhdl/makefile @@ -19,12 +19,15 @@ # ====================================================================== +SRC_FILES = ../../rtl/vhdl/des_pkg.vhd ../../rtl/vhdl/des.vhd ../../rtl/vhdl/tdes.vhd + + all : sim wave sim : tb_tdes.ghw -tb_tdes.ghw : ../rtl/*.vhd tb_tdes.vhd - ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/tdes.vhd tb_tdes.vhd +tb_tdes.ghw : $(SRC_FILES) tb_tdes.vhd + ghdl -a $(SRC_FILES) tb_tdes.vhd ghdl -e tb_tdes ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us