From e1980efa7451bba872b051bb15fd165f35498795 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Sun, 18 Sep 2011 15:15:31 +0200 Subject: [PATCH] longer simulation time --- des/sim/makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/des/sim/makefile b/des/sim/makefile index fc382be..feb004f 100644 --- a/des/sim/makefile +++ b/des/sim/makefile @@ -30,7 +30,7 @@ sim : tb_des.ghw tb_des.ghw : ../rtl/*.vhd tb_des.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd tb_des.vhd ghdl -e tb_des - ghdl -r tb_des --wave=tb_des.ghw --stop-time=1400ns + ghdl -r tb_des --wave=tb_des.ghw --stop-time=3us wave : tb_des.ghw gtkwave tb_des.ghw @@ -38,4 +38,4 @@ wave : tb_des.ghw clean : echo "# cleaning simulation files" rm -f tb_des.ghw - rm -f work*.cf \ No newline at end of file + rm -f work*.cf