diff --git a/des/sim/vhdl/makefile b/des/sim/vhdl/makefile index c7d3fda..38f7eb1 100644 --- a/des/sim/vhdl/makefile +++ b/des/sim/vhdl/makefile @@ -30,7 +30,7 @@ tb_des.ghw : ../../rtl/vhdl/*.vhd tb_des.vhd ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us wave : tb_des.ghw - gtkwave -T tb_des.tcl tb_des.ghw + gtkwave -S tb_des.tcl tb_des.ghw clean : echo "# cleaning simulation files"