From e9cd57264b02d3fba4f2c48b4b8332b2b0b72c28 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Sat, 28 Dec 2013 18:17:40 +0100 Subject: [PATCH] changed option 'T' to 'S' --- des/sim/vhdl/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/des/sim/vhdl/makefile b/des/sim/vhdl/makefile index c7d3fda..38f7eb1 100644 --- a/des/sim/vhdl/makefile +++ b/des/sim/vhdl/makefile @@ -30,7 +30,7 @@ tb_des.ghw : ../../rtl/vhdl/*.vhd tb_des.vhd ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us wave : tb_des.ghw - gtkwave -T tb_des.tcl tb_des.ghw + gtkwave -S tb_des.tcl tb_des.ghw clean : echo "# cleaning simulation files"