From f0cba7ebb61124ab5bb7f27a789cc2308c7b3574 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Thu, 6 Oct 2011 00:07:56 +0200 Subject: [PATCH] expanded simulation time to 220us --- cbcdes/sim/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/cbcdes/sim/makefile b/cbcdes/sim/makefile index 63be761..f5fb6f5 100644 --- a/cbcdes/sim/makefile +++ b/cbcdes/sim/makefile @@ -30,7 +30,7 @@ sim : tb_cbcdes.ghw tb_cbcdes.ghw : ../rtl/*.vhd tb_cbcdes.vhd ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/cbcdes.vhd tb_cbcdes.vhd ghdl -e tb_cbcdes - ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=200us + ghdl -r tb_cbcdes --wave=tb_cbcdes.ghw --assert-level=error --stop-time=220us wave : tb_cbcdes.ghw gtkwave tb_cbcdes.ghw