From f7eb3587cf782c98ff228620bf59673f9f0a79e8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Torsten=20Mei=C3=9Fner?= Date: Sat, 28 Dec 2013 00:02:00 +0100 Subject: [PATCH] adapted paths --- cbctdes/sim/vhdl/makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/cbctdes/sim/vhdl/makefile b/cbctdes/sim/vhdl/makefile index 2b49100..b362937 100644 --- a/cbctdes/sim/vhdl/makefile +++ b/cbctdes/sim/vhdl/makefile @@ -23,8 +23,8 @@ all : sim wave sim : tb_cbctdes.ghw -tb_cbctdes.ghw : ../rtl/*.vhd tb_cbctdes.vhd - ghdl -a ../rtl/des_pkg.vhd ../rtl/des.vhd ../rtl/tdes.vhd ../rtl/cbctdes.vhd tb_cbctdes.vhd +tb_cbctdes.ghw : ../../rtl/vhdl/*.vhd tb_cbctdes.vhd + ghdl -a ../../rtl/vhdl/des_pkg.vhd ../../rtl/vhdl/des.vhd ../../rtl/vhdl/tdes.vhd ../../rtl/vhdl/cbctdes.vhd tb_cbctdes.vhd ghdl -e tb_cbctdes ghdl -r tb_cbctdes --wave=tb_cbctdes.ghw --assert-level=error --stop-time=45us