From fbd8c111f56063eea6594a2dc0b9e268ef68eca9 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Wed, 28 Dec 2011 23:12:14 +0100 Subject: [PATCH] integrated tcl-file into gtkwave starting parameters --- des/sim/makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/des/sim/makefile b/des/sim/makefile index 52527c6..2968ff6 100644 --- a/des/sim/makefile +++ b/des/sim/makefile @@ -33,7 +33,7 @@ tb_des.ghw : ../rtl/*.vhd tb_des.vhd ghdl -r tb_des --wave=tb_des.ghw --assert-level=error --stop-time=11us wave : tb_des.ghw - gtkwave tb_des.ghw + gtkwave -T tb_des.tcl tb_des.ghw clean : echo "# cleaning simulation files"