diff --git a/vai_reg/vai_reg.vhd b/vai_reg/vai_reg.vhd index c497db8..16a499b 100644 --- a/vai_reg/vai_reg.vhd +++ b/vai_reg/vai_reg.vhd @@ -50,8 +50,7 @@ architecture rtl of vai_reg is begin - s_dout_accepted <= true when DoutValid_o = '1' and DoutAccept_i = '1' else - false; + s_dout_accepted <= (DoutValid_o and DoutAccept_i) = '1'; process (Reset_n_i, Clk_i) is