[options] depth 30 wait on mode prove #mode bmc [engines] smtbmc abc pdr [script] verific -vhdl alu.vhd verific -formal alu_t.sv prep -top alu_t [files] alu.vhd alu_t.sv