[options] depth 30 wait on mode prove #mode bmc [engines] smtbmc abc pdr [script] verific -vhdl vai_reg.vhd verific -formal properties.sv verific -import -extnets -all vai_reg prep -top vai_reg [files] vai_reg.vhd properties.sv