diff --git a/blink/syn/Makefile b/blink/syn/Makefile index a307c78..80c5b68 100644 --- a/blink/syn/Makefile +++ b/blink/syn/Makefile @@ -1,6 +1,6 @@ DESIGN_NAME := blink WORK_FILES := ../rtl/blink.vhd -GM_FILES := ../../lib/components.vhd +GM_FILES := ../../lib/rtl_components.vhd GHDL_FLAGS := --std=08 --workdir=build -Pbuild YOSYSPIPE := -nomx8 -luttree -retime PNRFLAGS := -sp off -om 2 -cCP on diff --git a/lib/components.vhd b/lib/rtl_components.vhd similarity index 100% rename from lib/components.vhd rename to lib/rtl_components.vhd diff --git a/uart_reg/syn/Makefile b/uart_reg/syn/Makefile index f62289c..105ff07 100644 --- a/uart_reg/syn/Makefile +++ b/uart_reg/syn/Makefile @@ -1,6 +1,6 @@ DESIGN_NAME := uart_reg WORK_FILES := ../rtl/uart_tx.vhd ../rtl/uart_rx.vhd ../rtl/uart_reg.vhd -GM_FILES := ../../lib/components.vhd +GM_FILES := ../../lib/rtl_components.vhd GHDL_FLAGS := --std=08 --workdir=build -Pbuild YOSYSPIPE := -nomx8 -luttree -retime PNRFLAGS := -sp off -om 2 -cCP on