diff --git a/README.md b/README.md index b555e91..4f12158 100644 --- a/README.md +++ b/README.md @@ -1,5 +1,5 @@ # libvhdl -A LGPLv3 licensed library of reusable components for VHDL designs and testbenches +A OHDL licensed library of reusable components for VHDL designs and testbenches ##sim @@ -91,4 +91,4 @@ ghdl -e --std=02 QueueT ghdl -r --std=02 QueueT QueueT.vhd:52:5:@0ms:(report note): INFO: t_simple_queue test finished successfully QueueT.vhd:87:5:@0ms:(report note): INFO: t_list_queue test finished successfully -``` \ No newline at end of file +```