diff --git a/common/UtilsP.vhd b/common/UtilsP.vhd index 05fbe90..187bd66 100644 --- a/common/UtilsP.vhd +++ b/common/UtilsP.vhd @@ -1,7 +1,6 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; - use ieee.math_real.all;