From 44a4ee94e5e681509dca23934e7501e0fe7acbe4 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Mon, 18 Jan 2016 23:35:17 +0100 Subject: [PATCH] remove unused math_real library depedency --- common/UtilsP.vhd | 1 - 1 file changed, 1 deletion(-) diff --git a/common/UtilsP.vhd b/common/UtilsP.vhd index 05fbe90..187bd66 100644 --- a/common/UtilsP.vhd +++ b/common/UtilsP.vhd @@ -1,7 +1,6 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; - use ieee.math_real.all;