From 54168ab07e436eaa6f14e99957ef90de34aa6d99 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Wed, 19 Nov 2014 22:24:04 +0100 Subject: [PATCH] removed, using string function of VHDL-08 instead --- test/StringT.vhd | 34 ---------------------------------- 1 file changed, 34 deletions(-) delete mode 100644 test/StringT.vhd diff --git a/test/StringT.vhd b/test/StringT.vhd deleted file mode 100644 index 239d4c2..0000000 --- a/test/StringT.vhd +++ /dev/null @@ -1,34 +0,0 @@ -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -library libvhdl; - use libvhdl.StringP.all; - use libvhdl.AssertP.all; - - - -entity StringT is -end entity StringT; - - - -architecture sim of StringT is - - -begin - - - StringTestP : process is - variable v_data : std_logic_vector(31 downto 0) := x"DEADBEEF"; - variable v_data_reverse : std_logic_vector(0 to 31) := x"DEADBEEF"; - begin - assert_equal(to_string(v_data(0)), "1"); - assert_equal(to_string(v_data), "11011110101011011011111011101111"); - assert_equal(to_string(v_data_reverse), "11011110101011011011111011101111"); - report "INFO: StringP tests finished successfully"; - wait; - end process StringTestP; - - -end architecture sim;