diff --git a/README.md b/README.md index 354188b..ed8b8d5 100644 --- a/README.md +++ b/README.md @@ -62,7 +62,7 @@ To run the tests, you have to install GHDL. You can get it from Furthermore, you need the VHDL 2008 proposed packages because libvhdl uses various VHDL 2008 features. You can get the packages from [http://www.eda.org/fphdl/](http://www.eda.org/fphdl/). -Save the following files into the test folder: +Save the following files into the vhdl_2008/ folder in the test directory: * standard_additions_c.vhd * standard_textio_additions_c.vhd diff --git a/test/Makefile b/test/Makefile index 14ccd3a..ffcb5b4 100644 --- a/test/Makefile +++ b/test/Makefile @@ -1,13 +1,17 @@ SIM_SRC = ../sim SYN_SRC = ../syn +VHD08_SRC = vhdl_2008 VHD_STD = 02 .PHONY: sim sim: vhdl2008 osvvm queuet simt spit .PHONY: vhdl2008 -vhdl2008 : env_c.vhd numeric_std_additions.vhd numeric_std_unsigned_c.vhd standard_additions_c.vhd standard_textio_additions_c.vhd std_logic_1164_additions.vhd - ghdl -a --std=$(VHD_STD) --work=ieee_proposed standard_additions_c.vhd standard_textio_additions_c.vhd std_logic_1164_additions.vhd numeric_std_additions.vhd numeric_std_unsigned_c.vhd env_c.vhd +vhdl2008 : $(VHD08_SRC)/env_c.vhd $(VHD08_SRC)/numeric_std_additions.vhd $(VHD08_SRC)/numeric_std_unsigned_c.vhd \ +$(VHD08_SRC)/standard_additions_c.vhd $(VHD08_SRC)/standard_textio_additions_c.vhd $(VHD08_SRC)/std_logic_1164_additions.vhd + ghdl -a --std=$(VHD_STD) --work=ieee_proposed $(VHD08_SRC)/standard_additions_c.vhd \ + $(VHD08_SRC)/standard_textio_additions_c.vhd $(VHD08_SRC)/std_logic_1164_additions.vhd $(VHD08_SRC)/numeric_std_additions.vhd \ + $(VHD08_SRC)/numeric_std_unsigned_c.vhd $(VHD08_SRC)/env_c.vhd .PHONY: osvvm osvvm : vhdl2008 osvvm_2.1/SortListPkg_int.vhd osvvm_2.1/RandomBasePkg.vhd osvvm_2.1/RandomPkg.vhd