diff --git a/test/Makefile b/test/Makefile index d1c00c5..06278ca 100644 --- a/test/Makefile +++ b/test/Makefile @@ -57,7 +57,7 @@ spi: spit ghdl -r --std=$(VHD_STD) $@t --wave=$@t.ghw -wishbonet: RandomPkg.o AssertP.o SimP.o QueueP.o $(SYN_SRC)/WishBoneMasterE.vhd $(SYN_SRC)/WishBoneSlaveE.vhd WishBoneT.vhd +wishbonet: RandomPkg.o AssertP.o SimP.o QueueP.o UtilsP.o $(SYN_SRC)/WishBoneMasterE.vhd $(SYN_SRC)/WishBoneSlaveE.vhd WishBoneT.vhd ghdl -a --std=$(VHD_STD) -fpsl $(SYN_SRC)/WishBoneMasterE.vhd $(SYN_SRC)/WishBoneSlaveE.vhd ghdl -a --std=$(VHD_STD) -fpsl WishBoneT.vhd ghdl -e --std=$(VHD_STD) $@