diff --git a/test/SimT.vhd b/test/SimT.vhd index 19ee66a..0e34d9e 100644 --- a/test/SimT.vhd +++ b/test/SimT.vhd @@ -17,7 +17,7 @@ library libvhdl; use libvhdl.AssertP.all; use libvhdl.SimP.all; use libvhdl.QueueP.all; - + use libvhdl.UtilsP.all; diff --git a/test/SpiT.vhd b/test/SpiT.vhd index e1c6c52..f624949 100644 --- a/test/SpiT.vhd +++ b/test/SpiT.vhd @@ -17,6 +17,7 @@ library libvhdl; use libvhdl.AssertP.all; use libvhdl.SimP.all; use libvhdl.QueueP.all; + use libvhdl.UtilsP.all;