From dc24fc93b1a1afde7deb1085cfb69b38c9584eab Mon Sep 17 00:00:00 2001 From: tmeissner Date: Wed, 19 Nov 2014 21:48:22 +0100 Subject: [PATCH] fixed reset initialisation of s_sclk_d --- syn/SpiSlaveE.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/syn/SpiSlaveE.vhd b/syn/SpiSlaveE.vhd index fee4618..e7e7249 100644 --- a/syn/SpiSlaveE.vhd +++ b/syn/SpiSlaveE.vhd @@ -1,5 +1,5 @@ library ieee; - use ieee.std_logic_1164.all; + use ieee.std_logic_1164.all; @@ -75,7 +75,7 @@ begin SpiSyncP : process (Reset_n_i, Clk_i) is begin if (Reset_n_i = '0') then - if (G_SPI_CPOL = '0') then + if (G_SPI_CPOL = 0) then s_sclk_d <= (others => '0'); else s_sclk_d <= (others => '1');