diff --git a/sim/AssertP.vhd b/sim/AssertP.vhd index b833761..7b659aa 100644 --- a/sim/AssertP.vhd +++ b/sim/AssertP.vhd @@ -4,9 +4,9 @@ library ieee; --+ including vhdl 2008 libraries --+ These lines can be commented out when using --+ a simulator with built-in VHDL 2008 support -library ieee_proposed; - use ieee_proposed.standard_additions.all; - use ieee_proposed.std_logic_1164_additions.all; +--library ieee_proposed; +-- use ieee_proposed.standard_additions.all; +-- use ieee_proposed.std_logic_1164_additions.all; diff --git a/sim/SimP.vhd b/sim/SimP.vhd index b237d4c..518df33 100644 --- a/sim/SimP.vhd +++ b/sim/SimP.vhd @@ -4,9 +4,9 @@ library ieee; --+ including vhdl 2008 libraries --+ These lines can be commented out when using --+ a simulator with built-in VHDL 2008 support -library ieee_proposed; - use ieee_proposed.standard_additions.all; - use ieee_proposed.std_logic_1164_additions.all; +--library ieee_proposed; +-- use ieee_proposed.standard_additions.all; +-- use ieee_proposed.std_logic_1164_additions.all; library libvhdl; use libvhdl.AssertP.all; diff --git a/test/SimT.vhd b/test/SimT.vhd index cc523a1..19ee66a 100644 --- a/test/SimT.vhd +++ b/test/SimT.vhd @@ -5,10 +5,10 @@ library ieee; --+ including vhdl 2008 libraries --+ These lines can be commented out when using --+ a simulator with built-in VHDL 2008 support -library ieee_proposed; - use ieee_proposed.standard_additions.all; - use ieee_proposed.std_logic_1164_additions.all; - use ieee_proposed.numeric_std_additions.all; +--library ieee_proposed; +-- use ieee_proposed.standard_additions.all; +-- use ieee_proposed.std_logic_1164_additions.all; +-- use ieee_proposed.numeric_std_additions.all; library osvvm; use osvvm.RandomPkg.all; diff --git a/test/SpiT.vhd b/test/SpiT.vhd index 72c9ad4..e1c6c52 100644 --- a/test/SpiT.vhd +++ b/test/SpiT.vhd @@ -5,10 +5,10 @@ library ieee; --+ including vhdl 2008 libraries --+ These lines can be commented out when using --+ a simulator with built-in VHDL 2008 support -library ieee_proposed; - use ieee_proposed.standard_additions.all; - use ieee_proposed.std_logic_1164_additions.all; - use ieee_proposed.numeric_std_additions.all; +--library ieee_proposed; +-- use ieee_proposed.standard_additions.all; +-- use ieee_proposed.std_logic_1164_additions.all; +-- use ieee_proposed.numeric_std_additions.all; library osvvm; use osvvm.RandomPkg.all; diff --git a/test/WishBoneT.vhd b/test/WishBoneT.vhd index 09e37b9..b6aca60 100644 --- a/test/WishBoneT.vhd +++ b/test/WishBoneT.vhd @@ -5,10 +5,10 @@ library ieee; --+ including vhdl 2008 libraries --+ These lines can be commented out when using --+ a simulator with built-in VHDL 2008 support -library ieee_proposed; - use ieee_proposed.standard_additions.all; - use ieee_proposed.std_logic_1164_additions.all; - use ieee_proposed.numeric_std_additions.all; +--library ieee_proposed; +-- use ieee_proposed.standard_additions.all; +-- use ieee_proposed.std_logic_1164_additions.all; +-- use ieee_proposed.numeric_std_additions.all; library osvvm; use osvvm.RandomPkg.all;