-- -- File Name: OsvvmContext.vhd -- Design Unit Name: OsvvmContext -- Revision: STANDARD VERSION -- -- Maintainer: Jim Lewis email: jim@synthworks.com-- -- -- Description -- Context Declaration for OSVVM packages -- -- Developed by/for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Latest standard version available at: -- http://www.SynthWorks.com/downloads -- -- Revision History: -- Date Version Description -- 01/2015 2015.01 Initial Revision -- 06/2015 2015.06 Added MemoryPkg -- 11/2016 2016.11 Added TbUtilPkg and ResolutionPkg -- -- -- Copyright (c) 2015 - 2016 by SynthWorks Design Inc. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the ARTISTIC License -- as published by The Perl Foundation; either version 2.0 of -- the License, or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- -- context OsvvmContext is library OSVVM ; use OSVVM.NamePkg.all ; use OSVVM.TranscriptPkg.all ; use OSVVM.TextUtilPkg.all ; use OSVVM.OsvvmGlobalPkg.all ; use OSVVM.AlertLogPkg.all ; use OSVVM.RandomPkg.all ; use OSVVM.CoveragePkg.all ; use OSVVM.MemoryPkg.all ; use OSVVM.ResolutionPkg.all ; use OSVVM.TbUtilPkg.all ; end context OsvvmContext ;