From 74b3bda2dec34077a6f9b5c103a718efc7a1c5e2 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Tue, 16 Jun 2020 04:23:39 +0200 Subject: [PATCH] Remove unused code from issue_1372 --- issues/issue_1372.vhd | 12 ------------ 1 file changed, 12 deletions(-) diff --git a/issues/issue_1372.vhd b/issues/issue_1372.vhd index 7c9904d..4dcc5ae 100644 --- a/issues/issue_1372.vhd +++ b/issues/issue_1372.vhd @@ -16,7 +16,6 @@ vunit issue_vunit (issue(psl)) { library ieee; use ieee.std_logic_1164.all; - use ieee.numeric_std.all; entity issue is @@ -28,19 +27,8 @@ end entity issue; architecture psl of issue is - component sequencer is - generic ( - seq : string - ); - port ( - clk : in std_logic; - data : out std_logic - ); - end component sequencer; - signal a, b : std_logic := '1'; begin - end architecture psl;