From 954adbeb68c3c72ef22332b90497b45b3d221394 Mon Sep 17 00:00:00 2001 From: tmeissner Date: Mon, 15 Aug 2022 15:58:10 +0200 Subject: [PATCH] Update of issue code for ghdl/ghdl#2178 --- issues/issue_2178.vhd | 8 ++++++-- 1 file changed, 6 insertions(+), 2 deletions(-) diff --git a/issues/issue_2178.vhd b/issues/issue_2178.vhd index 4b90ffe..c506d26 100644 --- a/issues/issue_2178.vhd +++ b/issues/issue_2178.vhd @@ -70,11 +70,15 @@ begin TEST_0 : cover seq(a, b) report "TEST_0 hit"; + -- One could negate the parameter when calling the + -- sequence, but this is not very intuitive + TEST_1 : assert never seq(a, not b); + -- This doesnt work: -- error: PSL declaration "a" not allowed in an expression - sequence seq1 (boolean d0) is {not d0; d0 = '1'}; + sequence seq1 (boolean d0) is {not d0; d0 = true}; - TEST_1 : cover seq1(a) report "TEST_1 hit"; + TEST_2 : cover seq1(a) report "TEST_1 hit"; end architecture psl;