From cd6c6449c24241a5c80527fe0b9881c475fc262b Mon Sep 17 00:00:00 2001 From: tmeissner Date: Sat, 16 May 2020 12:31:15 +0200 Subject: [PATCH] Update next_event_a example to fixed ghdl/ghdl#1314 --- src/psl_next_event_a.vhd | 6 +----- 1 file changed, 1 insertion(+), 5 deletions(-) diff --git a/src/psl_next_event_a.vhd b/src/psl_next_event_a.vhd index 79b31d5..2c72bcd 100644 --- a/src/psl_next_event_a.vhd +++ b/src/psl_next_event_a.vhd @@ -46,11 +46,7 @@ begin signal i_slv : std_logic_vector(b'range); begin i_slv <= std_logic_vector(to_unsigned(i, 4)); - -- Without name it works - assert always ((a and b = i_slv) -> next_event_a(c)[1 to 4](b = i_slv)); - -- This errors because of similar names for all asserts - -- ERROR: Assert `count_id(cell->name) == 0' failed in kernel/rtlil.cc:1613. - -- NEXT_EVENT_a : assert always ((a and b = i_slv) -> next_event_a(c)[1 to 4](b = i_slv)); + NEXT_EVENT_a : assert always ((a and b = i_slv) -> next_event_a(c)[1 to 4](b = i_slv)); end generate check_b;