diff --git a/raspiFpga/src/RaspiFpgaE.vhd b/raspiFpga/src/RaspiFpgaE.vhd index ec6f39a..28c6de9 100644 --- a/raspiFpga/src/RaspiFpgaE.vhd +++ b/raspiFpga/src/RaspiFpgaE.vhd @@ -209,8 +209,8 @@ begin ) port map ( --+ wishbone system if - WbRst_i => s_wb_clk, - WbClk_i => s_wb_rst, + WbRst_i => s_wb_rst, + WbClk_i => s_wb_clk, --+ wishbone outputs WbCyc_o => s_wb_cyc, WbStb_o => s_wb_stb,