From 1259f650329c58e8b9501c1eb626f55d04661425 Mon Sep 17 00:00:00 2001 From: Torsten Meissner Date: Thu, 27 Sep 2012 18:34:43 +0200 Subject: [PATCH] bugfix: added 'NET' before the pin names --- cpld/syn/constraints/cpldtest.ucf | 58 +++++++++++++++---------------- 1 file changed, 29 insertions(+), 29 deletions(-) diff --git a/cpld/syn/constraints/cpldtest.ucf b/cpld/syn/constraints/cpldtest.ucf index 42424f5..4f8b8d7 100644 --- a/cpld/syn/constraints/cpldtest.ucf +++ b/cpld/syn/constraints/cpldtest.ucf @@ -1,33 +1,33 @@ # pin locations -XcClk_i LOC = PIN43; -AvrData_io<0> LOC = PIN6; -AvrData_io<1> LOC = PIN5; -AvrData_io<2> LOC = PIN3; -AvrData_io<3> LOC = PIN34; -AvrData_io<4> LOC = PIN33; -AvrData_io<5> LOC = PIN32; -AvrData_io<6> LOC = PIN31; -AvrData_io<7> LOC = PIN30; -AvrData_io<8> LOC = PIN29; -AvrData_io<9> LOC = PIN28; -AvrData_io<10> LOC = PIN27; -AvrData_io<11> LOC = PIN23; -AvrData_io<12> LOC = PIN12; -AvrData_io<13> LOC = PIN8; -AvrData_io<14> LOC = PIN7; -AvrSck_i LOC = PIN44; -AvrMosi_i LOC = PIN2; -AvrMiso_o LOC = PIN1; -SpfRst_n_o LOC = PIN21; -SpfCs_n_o LOC = PIN22; -SpfSck_o LOC = PIN20; -SpfMosi_o LOC = PIN13; -SpfMiso_i LOC = PIN14; -Gpio_io<0> LOC = PIN42; -Gpio_io<1> LOC = PIN41; -Gpio_io<2> LOC = PIN40; -Gpio_io<3> LOC = PIN39; -Gpio_io<4> LOC = PIN38; +NET XcClk_i LOC = PIN43; +NET AvrData_io<0> LOC = PIN6; +NET AvrData_io<1> LOC = PIN5; +NET AvrData_io<2> LOC = PIN3; +NET AvrData_io<3> LOC = PIN34; +NET AvrData_io<4> LOC = PIN33; +NET AvrData_io<5> LOC = PIN32; +NET AvrData_io<6> LOC = PIN31; +NET AvrData_io<7> LOC = PIN30; +NET AvrData_io<8> LOC = PIN29; +NET AvrData_io<9> LOC = PIN28; +NET AvrData_io<10> LOC = PIN27; +NET AvrData_io<11> LOC = PIN23; +NET AvrData_io<12> LOC = PIN12; +NET AvrData_io<13> LOC = PIN8; +NET AvrData_io<14> LOC = PIN7; +NET AvrSck_i LOC = PIN44; +NET AvrMosi_i LOC = PIN2; +NET AvrMiso_o LOC = PIN1; +NET SpfRst_n_o LOC = PIN21; +NET SpfCs_n_o LOC = PIN22; +NET SpfSck_o LOC = PIN20; +NET SpfMosi_o LOC = PIN13; +NET SpfMiso_i LOC = PIN14; +NET Gpio_io<0> LOC = PIN42; +NET Gpio_io<1> LOC = PIN41; +NET Gpio_io<2> LOC = PIN40; +NET Gpio_io<3> LOC = PIN39; +NET Gpio_io<4> LOC = PIN38; # clock timing NET "XcClk_i" TNM_NET = XcClk_i;