Examples and design pattern for VHDL verification
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

6 lines
263 B

  1. set signals [list]
  2. lappend signals "top.psl_test_endpoint.s_rst_n"
  3. lappend signals "top.psl_test_endpoint.s_clk"
  4. lappend signals "top.psl_test_endpoint.s_write"
  5. lappend signals "top.psl_test_endpoint.s_read"
  6. set num_added [ gtkwave::addSignalsFromList $signals ]